自考问答 自考本科自考百科
  • 回答数

    4

  • 浏览数

    200

Nicole6996
自考问答 > 自考本科 > 计算机组成原理自学考试大纲

4个回答 默认排序
  • 默认排序
  • 按时间排序

亲爱的猪小呢

已采纳

同学你好,2023年湖北自考数字逻辑课程考试大纲内容如下:湖北省高等教育自学考试课程考试大纲课程名称:数字逻辑_纬檀耄06626I.课程性质与设置目的1.课程性质和特点数字逻辑属计算机科学与工程(类)本科重要的专业基础课,本课程的目的是使学生了解和熟悉从对数字系统提出要求开始,一直到用集成电路实现所需逻辑功能为止的整个过程。熟练掌握数字系统逻辑设计的理论和方法,对于从事计算机研制、开发和应用的科技工作者来说是十分必要的。课程的主要内容包括开关理论基础、逻辑门电路、组合逻辑、时序逻辑、ISP技术、数字系统等,它跟踪计算机元器件的发展脚步,介绍新型元器件的基本技术,为后续计算机硬件类课程打下基础,也为深入理解计算机的工作原理提供理论及实践基础。2.课程要求通过本课程的学习,应考者应掌握数字逻辑设计的基本理论和方法、数字逻辑电路的一般原理以及数字逻辑电路的新发展。本课程的核心是学生必须学会数字逻辑电路的基础知识,掌握数字逻辑电路设计和分析的方法步骤,在此基础上能够使用常用的实验素材进行同步时序逻辑电路的设计。掌握集成门电路,触发器和组合逻辑电路等实用性较广的功能部件,为将来实践工作打下坚实的基础。本课程涉及到数学,逻辑学和电子学相关的知识,理论性比较强。3.与本专业其它课程的关系本课程在计算机应用专业的教学计划中被列为专业基础课,其先修课程为普通物理、模拟电子技术和离散数学,后续课程为计算机组成原理、计算机接口技术等硬件课程。数字逻辑作为一门承上启下的基础课程,地位相当重要。本课程的重点是研究数字系统中各种逻辑电路分析与设计的基本方法;难点是各种大中型芯片的分析和组合设计。II.课程内容与考核目标第一章基本知识一、学习目的与要求了解数字系统的概念、模拟信号与数字信号的特点;重点掌握二进制、八进制、十进制、十六进制数的计数规律及相互转换,理解机器数的原码、反码、补码三种代码表示及相关转换,理解三种常用BCD码与十进制数的关系及各自特点,以及Gray码、奇偶检验码、字符编码的作用、特点和编码的原理。二、考核知识点与考核目标第一节概述(一般)1)识记:(1)数字系统的特征;(2)数字逻辑电路的类型和研究方法。第二节数制及其转换(重点)1)识记:进位计数制、进位计数制的两个基本因素、二进制数的运算规则。2)简单应用:数制之间的相互转换。第三节带符号二进制数的代码表示(次重点)1)领会:原码、反码、补码。2)简单应用:三种码制之间的转换第四节几种常用的编码(一般)1)识记:十进制数的二进制编码、常用的3种BCD码。2)领会:(1)可靠性编码;(2)字符编码。第二章逻辑代数基础一、学习目的与要求了解逻辑代数中有关逻辑变量,逻辑运算、逻辑函数、最小项和最大项等基本概念;熟练掌握逻辑代数的5条公理、8组定理及三条重要规则;熟悉逻辑函数表达式的不同形式与变换;重点掌握逻辑函数的代数化简法和卡诺图化简法。二、考核知识点与考核目标第一节逻辑代数的基本概念(一般)1)识记:逻辑代数中的公理。2)领会:(1)逻辑变量及基本逻辑运算;(2)逻辑函数及逻辑函数间的相等;(3)逻辑函数的表示法。第二节逻辑代数的基本定理和规则(次重点)1)识记:逻辑代数中的基本定理及规则。2)领会:(1)基本定理;(2)重要规则;(3)复合逻辑。第三节逻辑函数表达式的形式与变换(次重点)1)识记:逻辑函数表达式的基本形式。2)领会:逻辑函数表达式的标准形式。3)简单应用:逻辑函数表达式的转换。第四节逻辑函数的化简(重点)1)简单应用:(1)代数化简法;(2)卡诺图化简法。第三章集成门电路与触发器一、学习目的与要求了解数字集成电路的类型、分类依据、以及在数字系统中半导体器件工作的开关特性;重点掌握7种常用逻辑门和2种特殊逻辑门的逻辑符号、外部特性及使用方法;了解触发器基本结构和工作原理,熟练掌握基本R-S触发器和四种常用时钟控制触发器的逻辑符号、功能表,次态方程、激励表以及使用方法。对逻辑门电路的内部结构和工作原理只要求作一般了解。二、考核知识点与考核目标第一节数字集成电路的分类(一般)1)识记:数字逻辑电路的各种分类方法。第二节半导体器件的开关特性(一般)1):识记(1)晶体二极管的开关特性;(2)晶体三极管的开关特性。第三节逻辑门电路(重点)1)识记:(1)常用基本门电路的逻辑符号及表达式;(2)TTL集成逻辑门电路、CMOS集成逻辑门电路的各自的特点。2)领会:(1)TTL集成逻辑门电路的主要外部特性参数;(2)正逻辑和负逻辑的概念;(3)两种特殊的门电路的特点及应用。第四节触发器(重点)1)识记:(1)触发器的基本特点、逻辑功能及触发器的分类;(2)基本R-S触发器的逻辑电路和逻辑符号,逻辑功能的描述;(3)常用的时钟控制触发器(R-S、J-K、D、T触发器)的逻辑符号及其逻辑功能的描述。2)领会:(1)几种常用的时钟控制触发器的工作原理相互转换;(2)不同类型的时钟控制触发器相互转换方法。实验一、集成电路测试:集成逻辑门的主要参数测试和功能测试,集成触发器功能测试。第四章组合逻辑电路一、学习目的与要求了解组合逻辑电路的定义、结构和特点;重点掌握组合逻辑电路分析和设计的基本方法;能熟练运用逻辑代数这一数学工具,借助真值表,卡诺图等对各种设计问题进行逻辑描述和简化,并挑选合适的逻辑门电路完成满足设计要求的电路设计;了解实际电路中由于时延问题而引发的竞争现象以及险象的产生。二、考核知识点与考核目标第一节组合逻辑电路分析(重点)1)识记:(1)组合逻辑电路的基本特点;(2)组合逻辑电路分析的一般步骤;2)简单应用:会对组合逻辑电路进行分析。第二节组合逻辑电路设计(重点)1)识记:(1)逻辑综合的概念;(2)组合逻辑电路设计的一般步骤。2)简单应用:(1)会设计一些简单的组合逻辑电路;(2)会对设计中遇到的一些实际问题进行处理。3)综合应用:多输出函数的组合逻辑电路的设计第三节组合逻辑电路的险象(次重点)1)识记:险象的产生的原因及消除的方法。2)简单应用:会对险象进行判断;实验二、组合逻辑电路:舍入与检测电路的设计,全加/全减器设计。第五章同步时序逻辑电路一、学习目的与要求了解时序逻辑电路的定义,结构、特点和分类;重点掌握同步时序逻辑电路分析与设计的基本方法和步骤,能熟练运用状态表、状态图、隐含表、合并图等工具完成同步时序逻辑电路的分析与设计;要求能正确使用逻辑门和触发器构造出实现指定功能的同步时序逻辑电路。本章难点是形成原始状态图、状态化简及确定激励函数的最简表达式。二、考核知识点与考核目标第一节时序逻辑电路概述(次重点)1)识记:(1)时序逻辑电路与组合逻辑电路的区别;(2)描述时序逻辑电路逻辑功能的主要方法。2)领会:(1)时序逻辑电路的结构特征;(2)时序逻辑电路的分类方法;(3)时序逻辑电路逻辑功能的描述方法。第二节同步时序逻辑电路分析(重点)1)识记:同步时序逻辑电路分析的一般方法和步骤。2)简单应用:会对同步时序逻辑电路进行分析。第三节同步时序逻辑电路设计(重点)1)识记:同步时序逻辑电路设计的一般方法和步骤;2)领会:同步时序逻辑电路设计步骤的各个环节,熟悉各环节中的处理方法,为综合应用部分奠定基础。综合应用:会对完全确定同步时序逻辑电路进行分析及设计。实验三同步时序逻辑电路:同步模4可逆计数器设计第六章异步时序逻辑电路一、学习目的与要求了解两类异步时序逻辑电路的结构及其各自的特点;弄清楚脉冲异步时序逻辑电路与同步时序逻辑电路在分析、设计中的区别;重点掌握脉冲异步时序逻辑电路的分析和设计方法,了解电平异步时序逻辑电路分析与设计的方法和步骤,能运用时间图、流程表、状态图等工具完成电平异步时序的分析与设计;注意弄清楚电平异步时序逻辑电路中反馈回路之间竞争产生的原因、判断方法以及电路设计中消除临界竞争的方法。本章难点是形成原始流程表以及反馈回路间竞争的判断与临界竟争的消除。二、考核知识点与考核目标第一节异步时序逻辑电路的特点与分类(一般)1)识记:(1)同步时序逻辑电路与异步时序逻辑电路的区别;(2)异步时序逻辑电路的特点;(3)异步时序逻辑电路的分类。第二节脉冲异步时序逻辑电路(重点)领会:脉冲异步时序逻辑电路的结构模型及分析的一般步骤和方法。简单应用:脉冲异步时序逻辑电路的设计。第三节电平异步时序逻辑电路(次重点)1)识记:(1)电平异步时序逻辑电路的结构模型与描述方法;(2)输入信号的约束条件;(3)电平异步时序逻辑电路分析的一般步骤和方法。实验四、异步时序逻辑电路设计:脉冲异步计数器的设计第七章中规模通用集成电路及其应用一、学习目的与要求掌握各类中规模集成电路的主要功能和外部特性;能正确使用各类中规模器件完成指定的逻辑功能的设计;重点掌握四位并行加法器、译码器、多路选择器、四位寄存器、四位计数器等器件在逻辑设计中的应用,以及A/D转换器、D/A转换器的作用。二、考核知识点与考核目标第一节常用中规模组合逻辑电路(重点)1)识记:(1)串行进位和超前进位并行加法器的特点及电路逻辑符号;(2)译码器和编码器的种类、功能、特点及电路逻辑符号;(3)多路选择器和多路分配器的基本功能、特点及电路逻辑符号。2)领会:几种常用的中规模集成组合逻辑电路的工作原理及应用。3)简单应用:(1)会分析由常用中规模集成组合逻辑电路芯片、各种集成门电路组成的组合逻辑电路的逻辑功能;(2)会利用常用中规模集成组合逻辑电路芯片和必要的集成门电路进行组合逻辑电路的设计。第二节、常用中规模时序逻辑电路(重点)1)识记:(1)集成计数器的分类及特点;(2)集成寄存器的分类及特点;(3)多路选择器和多路分配器的基本功能、特点及电路逻辑符号。2)领会:集成计数器、集成寄存器的工作原理及特性。3)简单应用:(1)会利用集成计数器构成各种进制的计数器;(2)会对由集成寄存器组成的时序逻辑电路的进行分析。第三节常用中规模信号产生与变换电路(一般)1)识记:(1)集成定时器555的电路结构与逻辑功能;(2)集成D/A转换器的工作原理、功能、参数及类型;(3)集成A/D转换器工作原理、功能、参数及类型。2)领会:集成定时器555的工作原理。3)简单应用:会利用集成定时器555构成多谐振荡器、施密特触发器。综合应用:会对由组合逻辑电路集成芯片和时序逻辑电路集成芯片及555组成的数字电路进行分析。实验五、算术电路设计:串行加法器设计III.关于大纲的说明与考核实施要求本大纲第一部分关于课程性质与设置目的规定,是制订第二部分关于课程内容与考核目标的基本出发点,而课程内容与考核目标则是本大纲的主体部分。为了使主体部分的规定在个人自学、社会助学和考试命题中得到贯彻和落实,兹对有关问题作如下说明,并进而提出具体的实施要求。一、教材1.指定教材:欧阳星明编著,《数字逻辑》(第四版),华中科技大学出版社,20092.

200 评论(12)

花花洒洒洒

计算机组成原理

第一章计算机系统概论

硬件:计算机的实体,如主机,外设等

软件:具有各类特殊功能的信息(程序)组成

软件类型

定义

举例

系统软件    用来管理整个计算机系统    标准程序库,语言处理程序,操作系统,数据库管理系统,网络软件

应用软件    按任务需要编制成的各种程序    科学计算程序,数据处理程序,过程控制程序,事务管理程序

计算机系统的层次结构

计算机系统的体系结构

分类

区别

定义

计算机体系结构    有无乘法指令    程序员见到的计算机系统的属性,即概念性的结构和功能特性(指令系统,数据类型,寻址技术,I/O机理)

计算机组成    如何实现乘法指令    实现计算机体系结构所体现的属性(具体指令的实现)

冯.诺依曼计算机的特点

1.计算机由运算器,存储器,控制器,输入设备和输出设备五大部分组成

2.指令和数据以同等地位存放与存储器内,并可按地址寻访

3.指令和数据用二进制表示

4.指令由操作码和地址码组成

5.存储程序

6.以运算器为中心

冯.诺依曼计算机硬件框图

现代计算机硬件框图

设某机的指令字长为16位,其中操作码占6位,地址码占10位。

操作码

操作性质

具体内容

000001    取数    将指令地址码指示的存储单元中的操作数取到运算器的累加器ACC中

000010    存数    将ACC中的数存至指令地址码指示的存储单元中

000011    加    将ACC中的数与指令地址码指示的存储单元中的数相加,结果存于ACC中

000100    乘    将ACC中的数与指令地址码指示的存储单元中的数相乘,结果存于ACC中

000101    打印    将指令地址码指示的存储单元中操作数打印输出

存储器的基本组成

组成

解释

存储单元    存放一串二进制代码

存储字    存储单元中二进制代码的组合

存储字长    存储单元中二进制代码的位数每个存储单元赋予一个地址号

MAR    存储器地址寄存器,反映存储单元的个数 2**n

MDR    存储器数据寄存器,反映存储字长 n

运算器基本组成

寄存器,操作数,运算

加法

减法

乘法

除法

ACC    被加数及和    被减数及差    乘积高位    被除数及余数

MQ    乘数及乘积低位    商

X    加数    减数    被乘数    除数

控制器的基本组成

简称

意义

作用

解释

PC    取指令    取指,访存    存放当前欲执行指令的地址,具有计数功能(PC)+1->pc

IR    分析指令    取指,访存    存放当前欲执行的指令

CU    执行指令    执行,访存    控制单元

以取数指令为例

程序首地址PC

取指令:PC->MAR->存储体M-MDR->IR

分析指令:IR->CU

执行指令:IR->MAR->M->MDR->ACC

计算机硬件的主要技术指标

机器字长:CPU一次能处理数据的位数,与CPU中的寄存器位数有关。

运算速度:主频,吉普森法(每条指令的执行的时间以及他们在全部操作中所占的百分比TM=∑ni=1fitiTM=∑i=1nfiti),MIPS(百万条指令每秒),CPI(执行一条指令所需时钟周期数),FLOPS(每秒浮点运算次数)

存储容量(存放二进制信息的总位数)

分类

组成

主存容量    存储单元×字节数

主存容量    字节数

辅存容量    字节数

指令和数据都存于存储器中,计算机如何区分它们?

1.通过不同的时间段,在取指令阶段取出的为指令,在执行指令阶段取出为数据2.通过地址源:由PC提供存储单元地址的取出的是指令,由指令地址码提供存储单元地址取出的是操作数。

第二章 计算机的发展及应用

Moore定律:微芯上集成的晶体管数目每三年翻两番

计算机的应用

一、科学计算和数据处理

二、工业控制和实时控制

三、网络技术

1.电子商务

2.网络教育

3.敏捷制造

四、虚拟现实

五、办公自动化和管理信息系统

六、CAD/CAM/CIMS

七、多媒体技术

八、人工智能

芯片集成度的提高受以下三方面的限制

芯片集成度受物理极限的制约

按几何级数递增的制作成本

芯片的功耗、散热、线延迟

计算机

代替部分

光计算机    利用光子取代电子进行运算和存储

DNA生物计算机    通过控制DNA分子间的生化反应

量子计算机    利用原子所具有的量子特性

第三章 系统总线

计算机系统五大部件之间的互连的方式有两种

1.分散连接:各部件之间使用单独的连线

2.总线连接:将各部件连到一组公共信息传输线上

总线:连接各个部件的信息传输线,是各个部件共享的传输介质

总线传输特点:某一时刻只能有一路信息在总线上传输,即分时使用。为了减轻总线负载,总线上的部件应通过三态驱动缓冲电路与总线相连

总线上信息的传输:

串行:每条线可一位一位的传输二进制代码,一串二进制代码可在一段时间内逐一传输完成

并行:若干条传输线同时传输若干条二进制代码

面向 CPU 的双总线结构框图

单总线结构框图

以存储器为中心的双总线结构框图

总线分类

解释

片内总线    芯片内部的总线,如寄存器与寄存器之间,寄存器与算逻单元ALU之间。

系统总线    计算机各部件之间的信息传输线(CPU,主存,I/O设备等)

系统总线-数据总线    双向传输,其位数与机器字长,存储字长有关

系统总线-地址总线    单向,与存储地址,I/O地址有关

系统总线-控制总线    单向,发出各种控制信号。有出(存储器读、存储器写总线允许、中断确认),有入(中断请求、总线请求)

通信总线    用于计算机系统之间或计算机系统与其他系统(如控制仪表、移动通信等)之间的通信。分为串行通信和并行通信

总线物理实现

总线特性

总线特性

机械特性    指总线在机械连接方式上的一些性能,尺寸,形状,引脚的个数以及排列的顺序,接头处的可靠接触

电气特性    总线的每一根传输线上信号的传输方向和有效的电平范围

功能特性    每根传输线的功能。地址总线用来指出地址码;数据总线传递数据;控制总线发出控制信号

时间特性    信号的时序关系

总线性能指标

性能

指标

总线宽度    数据线分根数,用bit

总线带宽    每秒传输的最大字节数(MBps)

时钟同步/异步    同步,异步

总线复用    地址线与数据线复用

信号线数    地址线,数据线和控制线的总和

总线控制方式    突发,自动,仲裁,逻辑,计数

其他指标    负载能力(驱动能力),电源电压,总线宽度能否扩展等

总线标准

总线标准:系统与各模块,模块与模块之间的一个互连的标准界面

为什么要设立总线标准:为了使设计简化,模块生产批量化,确保其性能稳定,质量可靠,实现可移化,便于维护。

总线标准

数据线

地址线

总线时钟

带宽

特性

ISA    16    24    8MHz(独立)    16MBps

EISA    32    32    8MHz(独立)    33MBps

VESA(VL-BUS)    32    33MHz(CPU)    133MBps

PCI    32 64    33MHz(独立)66MHz(独立)    132MBps528MBps    良好的兼容性,即插即用,支持多主设备,具有与处理器和存储器子系统完全并行操作的能力,提供数据和地址奇偶校验,可扩充,软件兼容性好,支持两种电压标准5V、3.3V,采用多路复用

AGP    32    66.7MHz(独立)133MHz(独立)    266MBps533MBps

RS-232C    串行通信总线标准    数据终端设备(计算机)和数据通信设备(调制解调器)之间的标准接口

USB    串行接口总线标准    普通无屏蔽双绞线带屏蔽双绞线最高    1.5 Mbps(USB1.0)12Mbps(USB1.0)480Mbps(USB2.0)    具有真正的即插即用,很强的连接能力,数据传输率,标准统一

总线结构

单总线结构

双总线结构

三总线结构

三总线结构又一

四总线结构

总线判优控制

为什么要设置总线判优控制:总线上所连接的各类设备,按其对总线有无控制功能分为主设备(模块)和从设备(模块)。主设备对总线有控制权,从设备只能响应从主设备发来的总线命令,对总线没有控制权。总线上的信息是又主设备启动的,若多个主设备同时要使用总线时,就由总线控制器的优判,仲裁逻辑按一定的优先等级顺序确定哪个主设备能使用总线。

总线优判控制分为集中式和分布式两种

集中式种类

特点

链式查询    连线简单,易于扩充,对电路故障最敏感

计数器定时查询    优先级设置较灵活,对故障不敏感,连线及控制过程较复杂

独立请求    响应速度快,优先级次序控制灵活,但连线多,总线控制复杂

总线通信

通信方式

特点

适用场合

同步通信    指由统一时钟控制的通信,控制方式简单,灵活性差,当系统中各部件工作速度差异较大时,总线工作效率明显下降    一般用于总线长度较短,各部件存取时间比较一致的场合

异步通信    指没有统一时钟控制的通信,部件采用应答方式进行联系,控制方式较同步复杂,灵活性高,当系统中各部件工作速度差异较大时,有利于提高总线工作效率    又分为不互锁,半互锁,全互锁

半同步通信    既可以像同步通信一样由统一时钟控制,又可以像异步通信一样允许传输时间不一致,工作效率介于两者之间

分离式通信    1.各模块欲占用总线使用权必须提出申请2.在得到总线使用权后,主模块在限定的时间内向对方传送信息,采用同步方式,不再等待对方回答信号3.各模块在准备数据的过程中都不占用总线,使总线可以接受其他模块的请求4.总线被占用时都在做有效工作,或者通过它发送命令,或者通过它传送数据,不存在空闲等待时间,充分的利用了总线的有效占用,从而实现了总线在多个主,从模块间进行交叉重叠并行传送。    大型计算机系统

同步式数据输入传输

同步式数据输出传输

第四章 存储器

存储器分类

存储器速度容量和价位的关系

缓存主存层次和主存辅存层次

缓存主存--解决CPU和主存速度不匹配的问题

主存辅存--解决存储系统的容量问题

主存的主要技术指标

存储容量

指主存能存放二进制代码的总位数

存储容量=存储单元个数*存储字长

存储容量=存储单元个数*存储字长/8

存储速度

由存取时间和存取周期来表示,存取时间指存储器的访时间,存取周期指存储器进行两次独立的存储器操作所需的最小时间间隔

存储器带宽

单位时间内存储器存取的信息量,单位可以用字/秒或字节/秒或位/秒。提高存储器带宽可以1.缩短存取周期2.增加存储字长,使每个存取周期可读/写更多的二进制位数3.增加存储体

静态RAM

动态RAM

动态RAM通过电容来存储电荷的原理来存储信息,电容上有足够多的电荷表示存1,无电荷表示存0,电容上电荷一般只能维持1——2ms,因此,必须在2ms内对其所存储单元恢复一次原状态,这个过程称为再生或刷新。

动态RAM时序图

先由RAS¯¯¯¯¯¯¯¯¯¯¯RAS¯将行地址送入行地址缓存器,再由CAS¯¯¯¯¯¯¯¯¯¯¯CAS¯将列地址送入列地址缓存器,因此CAS¯¯¯¯¯¯¯¯¯¯¯CAS¯滞后于RAS¯¯¯¯¯¯¯¯¯¯¯RAS¯的时间必须要超过其规定值

RAS¯¯¯¯¯¯¯¯¯¯¯RAS¯和CAS¯¯¯¯¯¯¯¯¯¯¯CAS¯正、负电平的宽度要大于规定值,以保证芯片内部正常工作

行地址对RAS¯¯¯¯¯¯¯¯¯¯¯RAS¯的下降沿以及列地址对CAS¯¯¯¯¯¯¯¯¯¯¯CAS¯的下降沿应有足够的地址建立时间和地址保持时间,已确定行、列地址均能准确写入芯片。

动态RAM读时序

行地`RAS¯¯¯¯¯¯¯¯¯¯¯RAS¯有效写允许WE¯¯¯¯¯¯¯¯¯WE¯有效(高)列地址CAS¯¯¯¯¯¯¯¯¯¯¯CAS¯有效数据DOUTDOUT有效

动态RAM写时序

行地址RAS¯¯¯¯¯¯¯¯¯¯¯RAS¯有效写允许WE¯¯¯¯¯¯¯¯¯WE¯有效(低)数据DINDIN有列地址CAS¯¯¯¯¯¯¯¯¯¯¯CAS¯有效

动态RAM刷新

刷新实质是将原信息读出,在由刷新放大器形成原信息并重新写入的再生过程

集中刷新--在规定的一个刷新周期内,对全部存储单元集中一段时间逐行进行刷新,此刻必须停止读/写操作。之后剩余的时间进行读写操作或维持信息。因此存在“死时间”或访存“死区”。

分散刷新--对每行存储单元的刷新分散到每个存取周期内完成。其中把机器的周期tctc分成两段,前半段tMtM用来读写或维持信息,后半段tRtR用来刷新,不存在死时间,但存取周期长

异步刷新--异步刷新为前两种的结合,既可以缩短死时间,又可以充分利用2ms的特点

动态RAM和静态RAM的比较

在同样大小的芯片中,动态RAM的集成度远高于静态RAM。

动态RAM行、列地址按先后顺序输送,减少了芯片的引脚,封装尺寸也减少

动态RAM的功耗比静态RAM小

动态RAM的价格比静态RAM的价格便宜

由于使用动态元件(电容),因此它的速度比静态RAM低

动态RAM需要再生,故需要配置再生电路,也需要消耗一部分功率。通常,容量不大的高速缓存器大多用静态RAM实现

存储器与CPU的连接

存储容量的扩展

位扩展--增加存储字长

字扩展--增加存储器字的数量

字、位扩展--既增加存储字的数量,又增加存储字长

存储器与CPU连接

地址线的连接--CPU的地址线往往比存储芯片的地址线数多,通常总是将CPU地址线的低位与存储器的芯片的地址线相连,CPU地址线的高位或在存储芯片的扩充时用,或作其他用途。

数据线的连接--CPU的数据线与存储器的也不等,因此必须对存储芯片扩位使其相等

片选线的连接--是CPU与存储芯片正确工作的关键

合理选择存储芯片--指存储芯片类型(RAM或ROM)和数量的选择。通常用ROM存放程序,标准子程序和各类常数等。RAM为用户编程设计。此外,尽量选择连线简单方便。

提高访存速度的措施

单体多字系统--在一个存取周期内,从同一地址取出4条指令,然后在逐条送至CPU执行。增大存储器带宽,提高存储器工作速度。前提是,指令和数据在主存内必须是连续存放。

多体并行系统--采用多提模块组成的存储器,每个模块具有相同的容量和存取速度,各自具有独立的寄存器(MAR),数据寄存器(MDR),地址译码,驱动电路和读写电路。

高位交叉编址的多体存储器

低位交叉编址的多体存储器

高速缓冲存储器

Cache的基本结构

地址映射变换机构--将CPU送来的主存地址转换为Cache地址。

Cache--主存地址映射

直接映射

优点:实现简单,只需要利用主存地址的某些位直接判断,即可确定所需字块是否在缓存中

缺点:不够灵活,每个主存快只能固定的对应某个缓存块,即使缓存内还空着许多位置也不能被占用,使缓存的空间得不到充分的利用。此外,如果程序恰好重复访问对应同一缓存位置不同的主存块,就要不停的进行替换,降低命中率。

全相联映射--允许主存中每一字块映射到Cache中的任何一块位置上。

优点:灵活,命中率高,缩小了快冲突率。

缺点:所需的逻辑电路甚多,成本较高。

组相联映射

第五章 输入输出系统

输入输出系统发展的四个阶段

1.早期阶段

分散连接 CPU和I/O设备 串行工作 程序查询方式

2.接口模块和DMA阶段

总线连接 CPU和I/O设备并行工作

3.具有通道结构的阶段

4.具有I/O处理机的阶段

输入输出系统的组成

1.I/O软件

I/O指令 CPU指令的一部分

操作码

命令码

设备码

通道指令:通道自身的指令 指明数组的首地址、传送字数、操作命令。

I/O硬件

设备 I/O接口

设备 设备控制器 通道

I/O设备与主机的联系方式

1.I/O设备编址方式

统一编址 用取数存数指令

不统一编址 有专门的I/O指令

2.设备寻址

用设备选择电路识别是否被选中

3.传送方式

串行 并行

4.联络方式

立即响应方式

异步方式采用应答信号

同步工作采用同步时标联络

5.I/O设备

辐射式连接

总线式连接

I/O设备与主机信息传送的控制方式

1.程序查询方式

2.程序中断方式

倘若CPU在启动I/O设备后,不查询设备是否已准备就绪,继续执行自身程序,只是当I/O设备准备就绪并向CPU发出中断请求后才予以响应。

3.DMA方式(直接存储器存取)

主存与I/O设备之间有一条数据通路,主存与I/O设备交换信息时,无须调用中断服务程序。若出现DMA和CPU同时访问主存,CPU总是将总线占有权让给DMA,通常把DMA这种占有称为窃取或挪用。窃取的时间一般为一个周期,故又把DMA占用的存取周期窃取周期或挪用周期。

I/O接口

为什么设置I/O接口

实现设备的选择

实现数据缓冲达到速度匹配

实现数据串--并格式转换

实现电平转换

实现电平转换

传送控制命令

反映设备的状态("忙","就绪","中断请求")

端口和接口的关系

端口是指接口电路中的一些寄存器,这些寄存器用来存放数据信息,控制信息和状态信息,相应的端口被分别称为数据端口,控制端口,和状态端口。若干个端口加上相应的控制逻辑才能组成接口。

接口的功能和组成

总线连接方式的I/O接口电路

设备选择线,数据线,命令线,状态线

接口的功能和组成

接口功能

接口组成

选址功能    设备选择电路

传送命令的功能    命令寄存器,命令译码器

传送数据的功能    数据缓冲寄存器

反映设备状态的功能    设备标记状态

接口类型

分类

种类

按数据传送方式    并行接口(Intel 8255) 串行接口(Intel 8251)

按功能选择的灵活性    可编程接口(Intel 8255,Intel 8251) 不可编程接口(Intel 8212)

按通用性    通用接口(Intel 8255、 Intel 8251) 专用接口(Intel 8279、 Intel 8275)

按数据传送的控制方式    中断接口(Intel 8259) DMA接口(Intel 8257)

程序查询方式

指令

作用

测试指令    用来查询I/O设备已准备就绪

传送指令    当I/O设备已准备就绪时,执行传送指令

转移指令    若I/O设备未准备就绪,执行转移指令,转至测试指令,继续测试I/O设备的状态

程序流程

1)CPU发I/O地址设备开始工作;地址总线接口设备选择器译码选中发SEL信号;2)CPU发启动命令DBR开命令接收门;D置0,B置1接口向设备发启动命令;3)CPU等待,输入设备读出数据;4)外设工作完成,B置0,D置1;5)准备就绪信号接口完成信号控制总线CPU;6)输入:CPU通过输入指令(IN)将DBR中的数据取走。

程序中断方式

中断:在执行程序过程中,当出现异常情况或特殊情况请求时,计算机停止现行程序的运行,转向对这些异常情况或特殊情况的请求的处理,处理结束后再返回到现行程序的间断处,继续执行源程序。

程序中断方式配置中断请求和中断寄存

接口电路的基本组成

中断处理过程

1.CPU响应中断的条件和时间

(1)条件

允许中断触发器 EINT = 1

用 开中断 指令将 EINT 置 “1”

用 关中断 指令将 EINT 置“ 0” 或硬件 自动复位

(2)时间

当 D = 1(随机)且 MASK = 0 时

在每条指令执行阶段的结束前

CPU 发 中断查询信号(将 INTR 置“1”)

2.I/O中断处理过程

1.CPU发启动I/O设备命令,将接口中的B置1,D置0

2.接口启动输入设备开始工作

3.输入设备将数据送入数据缓冲寄存器

4.输入设备向接口发出"设备工作结束"信号,将D置1,B置0

5.当设备准备就绪(D=1),且本设备未被屏蔽(MASK=0),在指令执行阶段的结束时刻,由CPU发出中断查询信号

6.设备中断请求触发器INTR被置1,标志设备向CPU提出中断请求。于此同时,INTR被送至排队器,进行中断优判

7.若CPU允许中断(EINT=1),设备又被选中排队,即进入中断响应阶段

8.向量地址送至PC,作为下一条指令的地址

9.无条件转至设备服务程序的入口地址,进入中断服务阶段

10.执行结束,中断返回至原程序的断点处

中断服务程序的流程

保护现场

中断服务

恢复现场

中断返回

DMA接口的功能和组成

DMA接口具有的功能

向CPU申请DMA传送

在CPU允许DMA工作时,处理总线控制权的转交

在DMA期间管理系统总线,控制数据传送

确定数据传送的气势地址和数据长度,修正数据传送过程中的数据地址和数据长度

在数据块传送结束时,给出DMA操作完成的信号

DMA与主存交换数据的三种方式

1.停止CPU访问主存

2.周期挪用

3.DMA与CPU交替访问

DMA基本组成

DMA的工作过程

预处理、数据传送、后处理

DMA方式与中断程序的比较

中断方式

DMA方式

数据传送    程序    硬件

响应时间    指令执行结束    存取周期结束

处理异常情况    能    不能

中断请求    传送数据    后处理

优先级    低    高

第七章 指令系统

指令的一般格式

操作码字段

地址码字段

操作码 反映机器做什么操作

1).长度固定:用于指令字长较长的情况,RISC。如IBM 370 操作码 8位

2).长度可变:操作码分散在指令字段的不同字段中。

指令字长

指令字长决定于操作码的长度,操作数地址的长度,操作数地址的个数

指令字长固定的话=存储字长

指令字长可变的话=,按字节的倍数变化

操作数类型和操作种类

类型

代表

地址    无符号整数

数字    定点数、浮点数、十进制数

字符    ASCII

逻辑数    逻辑运算

操作类型

操作类型

解释

数据传送    寄存器->寄存器、寄存器->存储器等

算数逻辑运算    加、减、乘、除等

移位操作    算数移位、逻辑移位、循环移位(带进位,不带进位)

转移    无条件转移(JMP)、条件转移{结果为零转(JZ)、结果溢出转(JO)、结果有进位转(JC)、跳过一条指令(SKP)}

调用和返回

陷阱(Trap)与陷阱指令    意外事故的中断

输入和输出    端口地址->CPU的寄存器,CPU的寄存器->端口地址

寻址方式

指令寻址

解释

顺序寻址    自动形成下一条指令的地址

跳跃寻址    通过转移类指令实现

数据寻址

例子

立即寻址    op # A

直接寻址    EA=A

隐含寻址    ACC x

间接寻址    EA=(A)

寄存器寻址    EA=R

寄存器间接寻址    EA=(R)

基址寻址    EA=A+(BR)

变址寻址    EA=A+(IX)

相对寻址    EA=(PC)+A

堆栈寻址    PUSH A

设计指令格式应考虑的各种因素

因素

详细

操作类型    包括指令数及操作的难易程度

数据类型    确定哪些数据类型可以参与操作

指令格式    指令字长是否固定、操作码数、是否采用扩展操作码技术,地址码位数、地址个数、寻址方式类型

寻址方式    指令寻址、操作数寻址

寄存器个数    寄存器的多少直接影响指令的执行时间

RISC技术

RISC:精简指令系统计算机

CISC:复杂指令系统计算机

RISC的主要特征

选用使用频度较高的一些简单指令,复杂指令的功能由简单指令来组合

指令 长度固定、指令格式种类少、寻址方式少

只有 LOAD / STORE 指令访存

CPU 中有多个 通用 寄存器

采用 流水技术 一个时钟周期 内完成一条指令

采用 组合逻辑 实现控制器

采用 优化 的 编译 程序

RISC和CISC的比较

RISC更能 充分利用 VLSI 芯片的面积

RISC 更能 提高计算机运算速度(指令数、指令格式、寻址方式少,通用 寄存器多,采用组合逻辑,便于实现指令流水)

RISC 便于设计,可 降低成本,提高 可靠性

RISC 有利于编译程序代码优化

RISC 不易 实现 指令系统兼容

111 评论(15)

WTF=WheresTheFood

一、计算机的组成及学习大纲1. 计算机的组成计算机的三大件 :CPU、内存、主板(1)CPU,中央处理器,计算机最核心的配件,负责所有的计算。(2)内存,你编写的程序、运行的游戏、打开的浏览器都要加载到内存中才能运行,程序读取的数据、计算的结果也都在内存中,内存的大小决定了你能加载的东西的多少。(3)主板,存放在内存中数据需要被CPU读取,CPU计算完成后,还要把数据写入到内存中,然而CPU不能直接插在内存上,这就需要主板出马了,主板上很多个插槽,CPU和内存都是插在主板上,主板的芯片组和总线解决了CPU和内存之间的通讯问题,芯片组控制数据传输的流转,决定数据从哪里流向哪里,总线是实际数据传输的告诉公里,总线速度决定了数据的传输速度。(4)输入/输出设备,其实有了以上三大件之后,计算机就可以跑起来了。我们日常使用的话还需要键盘、鼠标、显示器等输入/输出设备,而很多云服务器通过SSH远程登录就可以访问,就不需要配显示器、鼠标、键盘这些东西,节省成本且方便维护。(5)硬盘,有了硬盘数据才能长久的保存下来,大部分还会给自己的机器配上机箱和风扇,解决灰尘和散热问题,不过这些也不是必须的,用纸板和电风扇替代也一样可以用。(6)显卡,显卡里有GPU图形处理器,主要负责图形渲染,使用图形界面操作系统的计算机,显卡是必不可少的。现在的主板都带了内置的显卡,如果想玩游戏、做图形渲染,一般需要一张单独的显卡,插在主板上。2. 冯·诺依曼体系现代计算机的硬件基础架构都是依赖于冯诺依曼提出的冯诺依曼体系结构,现代计算机的核心架构可以抽象为五个基础组件:运算器、控制器、存储器、输入设备和输出设备。具体到现代计算机,运算器和控制器组成了现代计算机的CPU,存储器对应着内存和硬盘,主板控制着CPU、内存、硬盘、输出/输出设备之间的通讯。冯诺依曼体系结构也叫做存储程序计算机,即可编程、可存储的计算机。任何一台计算机的任何一个部件都可以归到运算器、控制器、存储器、输入设备和输出设备中,而所有的现代计算机也都是基于这个基础架构来设计开发的。冯诺依曼体系结构确立了我们现代计算机的硬件基础架构,学习计算机组成原理,就是学习和拆解冯诺依曼体系。

123 评论(10)

huahuaxiaoer

大纲规定的允许使用计算器的课程 序号 课程代码 课程名称 序号 课程代码 课程名称 1 00009 政治经济学(财经类) 42 02338 光纤通信原理 2 00041 基础会计学 43 02373 计算机通信网 3 00055 企业会计学 44 02375 运筹学基础 4 00065 国民经济统计概论 45 02387 工程测量 5 00066 货币银行学 46 02389 建筑材料 6 00067 财务管理学 47 02391 工程力学(二) 7 00070 政府与事业单位会计 48 02393 结构力学(一) 8 00072 商业银行业务与经营 49 02396 混凝土及砌体结构 9 00073 银行信贷管理学 50 02398 土力学及地基基础 10 00075 证券投资与管理 51 02400 建筑施工(一) 11 00078 银行会计学 52 02404 工程地质及土力学 12 00090 国际贸易实务(一) 53 02439 结构力学(二) 13 00100 国际运输与保险 54 02440 混凝土结构设计 14 00102 世界市场行情 55 02442 钢结构 15 00142 计量经济学 56 02447 建筑经济与企业管理 16 00144 企业管理概论 57 02448 建筑结构试验 17 00146 中国税制 58 02627 运筹学与系统分析 18 00149 国际贸易理论与实务 59 02629 基础工业工程 19 00150 金融理论与实务 60 02631 计算机辅助管理 20 00155 中级财务会计 61 02647 生产管理与质量工程 21 00156 成本会计 62 02648 设施规划与设计 22 00157 管理会计(一) 63 02897 企业经营战略与市场营销 23 00158 资产评估 64 03347 流体力学 24 00159 高级财务会计 65 04183 概率论与数理统计(经管类) 25 00160 审计学 66 04184 线性代数(经管类) 26 00161 财务报表分析(一) 67 04742 通信概论 27 00178 市场调查与预测 68 05361 物流数学 28 00208 国际财务管理 69 05364 物流企业会计 29 00420 物理(工) 70 05374 物流企业财务管理 30 00451 教育经济学 71 05755 实用卫生统计学 31 00452 教育统计与测量 72 10510 连锁与特许经营管理 32 00915 电子商务与现代物流 73 10511 销售团队管理 33 02185 机械设计基础 74 12400 建筑消防设施 34 02187 电工与电子技术 75 12401 防火工程 35 02194 工程经济 76 12406 消防燃烧学 36 02195 数控技术及应用 77 12407 灭火设施 37 02197 概率论与数理统计(二) 78 12408 防排烟工程 38 02202 传感器与检测技术 79 12409 建筑防火 39 02230 机械制造 80 12411 电气防火及火灾监控 40 02240 机械工程控制基础 81 12413 工业企业防火 41 02245 机电一体化系统设计 82 12417 灭火技术与战术

297 评论(10)

相关问答

  • 2019自考计算机组成原理

    你好,计算机的组成的话有三大件 :CPU、内存、主板 (1)CPU,中央处理器,计算机最核心的配件,负责所有的计算。 (2)内存,你编写的程序、运行的游戏、打开

    大飞猪猪 3人参与回答 2024-09-21
  • 2019自考计算机组成原理试题

    【免费定制个人学历提升方案和复习资料: 】根据全国211大学名单可知:贵州工程应用技术学院不是211大学。贵州工程应用技术学院院士工作站(Guizhou Uni

    奋斗1989 2人参与回答 2024-09-22
  • 自学考试计算机组成原理

    问:我现在是高职在读生,理工科方向,请问自学考试计算机网络本科段的《计算机组成原理》可以免修吗? 答: 各类本科及以上毕业生和理工科类专科毕业生可直接报考计算机

    西由位门1 3人参与回答 2024-09-22
  • 自学考试计算机组成原理视频

    序号 国码 省码 新计划课程 学分 老计划课程 1 0001 4423 马克思主义哲学原理 3 哲学(0006) 一代三 2 0002 4424 DXP理论概论

    等于个圈圈 5人参与回答 2024-09-22
  • 自学考试计算机组成原理难吗

    你好,自考不是很难的,自考学历是非统招学历中最好的,比成教、网络、远程、电大的都好,自考考试严格能够直接反应自考生的能力,自考一般需要两年到三年的时间拿到毕业证

    foxbaby168 8人参与回答 2024-09-22

自考地区